闪电下载吧 最新软件 免费软件 绿色软件

教程资讯 软件专题

位 茫 > TAG 签 > aldec riviera

高级验证平台Aldec Riviera-PRO 2014.06 x64

Aldec Riviera-PRO破解版是先进的验证平台。使用旨在为用户提供完整的可满足工程师对制造未来最先进的FPGA和SoC器件验证需求的功能和工具,Riviera-PRO通过结合高性能仿真引擎,不同抽象级别的高级调试功能以及对最新语言和验证库标准的支持,实现了最终的测试平台生产...

工具软件 权 式 卮 冢 2022-09-02 燃 ☆☆☆☆☆
    共1页/1条
关于本站 - 网站帮助 - 广告合作 - 下载声明 - 友情连接 - 网站地图 - 网站标签
有任何意见或者建议请联系邮箱:858898909[at]qq.com 本站部分内容收集于互联网,如果有侵权内容、不妥之处,请联系我们删除。敬请谅解!
Copyright © 2012 SDBETA.com. All Rights Reserved 豫ICP备12021367号 豫公网安备 41019702002546号闪电下载吧