闪电下载吧 最新软件 免费软件 绿色软件

教程资讯 软件专题

您的位置:SD124 > 工具软件 > HDL语言仿真Mentor Graphics QuestaSim 10.7c win/liunx 含授权激活教程

HDL语言仿真Mentor Graphics QuestaSim 10.7c win/liunx 含授权激活教程

  • 软件大小:未知
  • 更新日期:2020-09-27
  • 官方网站:闪电下载吧
  • 软件等级:★★★☆☆
  • 运行环境:Winxp/Win7/Win8/Win10
HDL语言仿真Mentor Graphics QuestaSim 10.7c  win/liunx 含授权激活教程
  • 软件说明
  • 软件截图
  • 下载地址
  • 相关软件
  • 用户评论
  • 投诉建议: 858898909@qq.com
Mentor Graphics QuestaSim破解版为您提供模拟,调试和验证平台,用于验证FPGA和SoC设计。Questa SIM优化会自动在所有设计上执行。这些优化旨在最大限度地提高模拟器性能,在一些Verilog设计中,通过非优化运行,可实现高达10倍的改进。但是,全局优化可能会影响您可以查看的设计模拟结果的可见性 - 某些信号和过程可能不可见。如果这些信号和过程对于调试设计很重要,则可能需要通过从特定模块中删除优化来自定义模拟。因此,重要的是要就如何最佳地将优化应用于您的设计做出明智的决定。在Questa SIM中执行全局优化的工具称为vopt。Questa SIM以两种方式使用库,作为本地工作库,包含设计的编译版本,或者作为资源库。 更新设计并重新编译时,工作库的内容将发生变化。 资源库通常是静态的,可用作设计的零件源。 您可以创建自己的资源库,也可以由其他设计团队或第三方(例如,芯片供应商)提供。西门子公司Mentor推出了QuestaSim 10.6c,它是Questa高级功能验证平台的一部分,是功能验证的Mentor Graphics工具套件中的最新工,QuestaSim是Questa高级功能验证平台的一部分,是功能验证的Mentor Graphics工具套件中的最新工具。该工具为SystemC,SystemVerilog,Verilog 2001标准和VHDL的最新标准提供仿真支持。该工具是对Modelsim的一项进步,它支持高级验证功能,如覆盖数据库,覆盖驱动验证,使用断言,SystemVerilog约束随机功能。新版本带来了诸多的改善,如改进的SystemVerilog / Verilog / VHDL性能和优化,分析和容量报告,capstats工具,改进了门级性能和延迟模型支持,强大的门级新的查找循环功能、Questa Fast Dumping(QFD)支持SAIF,TCL,UVM后门以及代码覆盖率自适应排除支持,让你的工作更加方便快捷,提高效率,本次小编带来的是最新破解版本,含详细的安装破解授权图文教程!

2019.10.1更新Mentor Graphics QuestaSim 10.7c破解版

安装破解教程

1、在本站下载并解压,如图所示,得到以下文件
2、首先我们安装软件,双击questasim-win64-10.6c.exe运行,如图所示,稍等一会儿

3、软件安装向导,点击下一步

4、点击浏览选择软件安装路径,点击下一步

5、许可证协议,点击同意

6、如图所示,软件安装中,大家耐心等待即可

7、您想在桌面上放置Questa Sim的快捷方式吗?点击是

8、您是否希望将Questa Sim可执行文件目录添加到您的路径中?这对于从DOS框运行批处理编译和模拟非常有用。
9、安装key drivers ,选择否

10、安装完成,退出向导

11、将破解文件夹中的patch_dll.bat、MentorKG.exe以及icense.dat三个文件复制到软件安装目录中的win64文件夹内

12、然后我们运行 patch_dll.bat,如图所示,稍等一会儿

13、生成一个LICENSE.TXT文件,在C:\TEMP中可以找到,将它放将它保存在软件安装目录的win64文件夹内

14、然后我们需要创建环境变量,包括用户变量和系统变量
具体操作为右键点击“我的电脑”->属性->高级->环境变量,将用户变量和系统变量的值改为以下情况:
①用户变量
变量名:LM_LICENSE_FILE  变量值:安装目录中license.dat的安装路径
变量名:MGLS_LICENSE_FILE 变量值 :安装目录中LICENSE.TXT的路径
path 的值有两项是    d:\questasim64_10.1d\win64;D:/questasim64_10.1d/win64/license.txt;(其他项可以不管,用分号与其他项隔开)
②系统变量
变量名:MGLS_LICENSE_FILE 变量值:安装目录中LICENSE.TXT的路径
变量名:lm_license_file 变量值:安装目录中LICENSE.TXT的路径
15、运行软件享用即可

使用帮助

模拟的基本步骤  

您必须拥有正确的文件和库设置才能使用QuestaSIM模拟设计所需的命令。  
文件和地图库  
QuestaSIM必须能够访问多种特定文件类型才能模拟您的设计。  
设计文件(VHDL,Verilog和/或SystemC),包括设计的激励。  
图书馆,包括工作和资源。  
modelsim.ini文件(由库映射命令自动创建)。  
有关QuestaSIM在系统启动期间使用的文件(包括modelsim.ini文件)的详细信息,请参阅“系统初始化”附录。  
第1步-创建工作和资源库  
在编译源文件之前,必须创建一个用于存储编译结果的工作库。  
您可以使用vlib命令创建工作库。更新设计并重新编译时,库的内容会发生变化。  
vlib命令默认创建“平面”库类型。与传统库类型相比,平面库将库信息压缩为一小组文件。这可以解决非常大的库所遇到的性能和容量问题。  
由于缺少每个目标文件对象,对平面库的Makefile支持受到限制。但是,如果任何设计单元的任何源文件都比库更新,则生成的makefile将触发所有设计单元的构建。还支持平面库中的优化设计单元,具有更精确的依赖性跟踪。  
执行以下任何操作时,需要vmake命令的流可以恢复为旧库类型:  
在vlib命令中指定“-typedirectory”。  
将modelsim.ini文件中的DefaultLibType变量设置为值0。  
将shell环境变量MTI_DEFAULT_LIB_TYPE设置为值0。  
对于路径包含多个需要转义的项目(例如路径名包含空格或反斜杠字符)的情况,请使用大括号({})。例如:  
vmapcelllib{$LIB_INSTALL_PATH/DocumentsAndSettings/All/celllib}  
先决条件  
了解包含设计文件和资源库的目录的路径。  
启动QuestaSIM  
程序  
从主菜单中选择“文件”>“更改目录”以打开“浏览文件夹”对话框。  
导航到源文件所在的目录。  
使用vlib命令以下列方式之一创建逻辑工作库:  
在UNIXshell或Transcript窗口中输入vlib命令:  
vlib的工作  
从主菜单中选择“文件”>“新建”>“库”。  
使用vmap命令在逻辑库名称和目录之间映射一个或多个用户提供的库:  
vmap<logical_name><directory_pathname>  
创建一个名为work的库,将其放在当前目录中,并在Structure窗口中显示工作库(图1)。  
第2步-编译设计  
使用特定于语言的编译器命令将设计文件编译到工作目录中。  
Verilog和SystemVerilog-使用vlog命令编译。  
VHDL-使用vcom命令编译。  
SystemC-使用sccom命令编译。  
先决条件  
创建工作库并将所需的资源库映射到工作库。有关更多信息,请参阅步骤1-创建工作和资源库。  
SystemC设计需要安装gcc编译器。有关更多信息,请参阅编译SystemC文件。  
程序  
根据用于创建设计的语言,使用以下QuestaSIM命令之一编译设计:  
如果您的源文件是用...写的  
在Transcript窗口中输入以下内容...  
Verilog和/或SystemVerilog  
您可以按任何顺序编译Verilog文件。例如:  
vloggates.v和2.vcache.vmemory.v  
VHDL  
vcom命令按照它们在命令行中出现的顺序编译VHDL单元。对于VHDL,编译顺序很重要-您必须在引用它们的体系结构之前编译任何实体或配置。例如:  
vcomv_and2.vhdutil.vhdset.vhd  
SystemC的  
QuestaSIM使用外部C/C++编译器将SystemC源代码编译到工作库中,而sccom-link则使用编译后的源代码并链接设计。例如:  
sccom-gbasic.cpp  
sccom-link  
-g参数编译调试的设计。  
默认情况下,编译结果存储在工作库中。(图1)

第3步-优化设计  
优化是一个可选步骤,可以通过限制设计对象的可见性来提高性能。QuestaSIM使用vopt命令执行全局优化。  
先决条件  
创建工作库并将所需的资源库映射到工作库。有关更多信息,请参阅步骤1-创建工作和资源库。  
编译设计。请参阅步骤2-编译设计。  
程序  
在命令行中输入以下命令:  
vopttop-otopopt  
哪里:  
top是已编译的顶级模块的名称。  
-otopopt为设计的优化版本指定名称(topopt)。  
父主题:模拟的基本步骤  
相关话题  
使用vopt优化设计
步骤4-加载模拟设计  
使用vsim命令加载设计,通过指定任何顶级模块的名称来定义(许多设计只包含一个顶级模块)。  
先决条件  
创建工作库并将所需的资源库映射到工作库。有关更多信息,请参阅步骤1-创建工作和资源库。  
编译设计。请参阅步骤2-编译设计。  
程序  
在命令行中输入以下命令:  
vsimtestbenchglobals  
其中testbench和globals是两个顶级模块。  
模拟器加载顶层模块,然后迭代加载设计层次结构中的实例化模块和UDP。这通过连接端口和解析分层引用将设计链接在一起。  
注意:  
通过将标准延迟格式(SDF)反标注文件应用于设计,可以将实际延迟值合并到模拟中。
第5步-模拟设计  
成功加载设计后,模拟时间将设置为零,您必须输入运行命令才能开始模拟。  
先决条件  
对以下命令有基本的了解,通常用于运行模拟:  
    add wave
    bp
    force
    run
    step 
使用以下任何一种方法为设计添加刺激。  
基于语言的测试平台。  
基于Tcl的QuestaSIM交互式命令。例如,force和bp。  
VCD文件/命令。  
第6步 - 调试设计
您可以从Questa SIM GUI调试您的设计。
程序
使用以下任何或所有命令开始以交互方式调试模拟:

    describe
    drivers
    examine
    force
    log
    checkpoint
    restore
    show

日志:

此版本中包含的新功能:
-改进的VHDL性能-存储器,时钟,合成
-门级add_seq_delay和其他优化
-改进的访问写入性能
-早期对IEEE 1735第2版加密的支持
-不建议使用的旧版-novopt流,可删除选项在下一版本的
 
10.7c 
QSIM-879中的“ 常规增强”中添加了对rtl中的位标量标量端口与SDF中“ -sdfallowvlogescapeport”下的正常非转义端口名的匹配支持。
10.7c QSIM-35477中的用户界面增强功能
-SourceDir变量改进了用于查找源文件的重新映射行为。不必定义每个源目录位置,只要定义单个根源目录就足以在目录子树中找到任何源文件,只要该子树路径与原始路径相同即可。
10.7c中的SystemVerilog增强功能
[nodvtid] -Verilog设计单元的库签名已从10.7的早期版本中更改。在10.7c中使用以前的10.7库时,需要刷新。
 
在10.7c中修复的用户界面缺陷
QSIM-21724-包含SV联合类型的网络或寄存器的日志记录存在多个问题,这些问题可能导致崩溃或显示不正确的数据。这些问题已经解决。
在10.7c中修复的SystemVerilog缺陷
QSIM-50272- vencrypt功能“ -auto3protect”无法正确处理模块端口列表中的宏调用语法。
QSIM-17634-(结果)与否定的时序检查一起使用时,优化的单元路径延迟可能会错误地缩短。
QSIM-8147-(结果)重新启动具有负时序检查限制的设计可能会影响仿真时序检查和功能评估行为。
在10.7c 
QSIM-5497中修复了VHDL缺陷-对于某些未绑定组件中使用的类型转换的特定情况,vsim曾经崩溃。此问题已解决。
QSIM-10698-带-mixedsvvh开关的VHDL软件包不支持Verilog保留字“ global”。现在已经支持。
VISU- 4153-(结果)将VHDL记录到qwave文件时,不包括标准IEEE软件包(如stdlogic和numeric_std)的内容。现在也排除了像fixed_pkg和float_generic_pkg这样的包装。以“ float”或“ fixed”开头的标准软件包已从日志中过滤掉。
QSIM-43580-端口关联与OTHERS选项一起聚合,对于作为数组阵列的受约束端口,并且这些约束是全局静态的,则可能导致编译器内部错误或运行时有关数组长度不匹配的模拟器错误。
QSIM-26474-在某些特定情况下,在循环语句中使用切片表达式会导致崩溃。此问题已解决。
QSIM-32597-在某些特定情况下,由于某些优化,嵌套if语句的使用导致崩溃。此问题已解决。
QSIM-50516-在某些情况下,vopt会为配置生成内部错误或错误代码。如果正在配置的组件的通用端口或端口在端口/通用映射以外的任何其他地方使用,则会发生这种情况。
QSIM-50659-(结果)在某些情况下,实际值连接到过程的多个形式,则模拟输出不正确。此问题已解决。
QSIM-3588-对于for生成的某些特定情况,vsim用于崩溃。此问题已解决。
在10.7c中修复了验证管理缺陷
VM- 8783-修复了无法识别“ triage passfail”命令的“ -inputsfile”选项的错误。
版本10.6c的兼容性问题
    SystemVerilog兼容性
    dvt32206 - (结果)$ nochange计时检查在所有情况下都无法正常工作。
    SVA / PSL兼容性
    dvt101285 - (source)修正了一个错误,其中断言控制任务(例如$ assertoff)没有检查级别参数的类型并接受任何内容并将其用作整数或默认为值0.这导致了错误的行为。现在我们添加了检查,这些任务的levels参数必须是整数表达式。任何使用不正确类型指定级别的测试用例都需要修复。
    验证管理兼容性
    dvt101404 - (结果)通常从测试关联的UCDB合并文件中删除测试是错误的。此更改允许抑制该错误。
    如何抑制:
    A)从合并UCDB中删除测试
        在这样的电话下:
            vsim -suppress 6892 -viewcov <accumulation_mergefile> .ucdb
        删除将被允许:
            coverage edit -delete -test <没有覆盖的测试名称>
    B)合并文件的未来累积将需要额外的抑制:
            vcover merge -suppress 6891 -testassociated -out
                <accumulation_mergefile> .ucdb <accumulation_mergefile> .ucdb x.ucdb
    发布公告兼容性
    [nodvtid] - (source,results)在正常的弃用过程之后,-novopt命令行开关将在下一个主要版本10.7中弃用:
        -novopt开关将在10.7中被接受,并带有可弃用的弃用错误消息。
        在10.8或后续版本中,工具将不接受-novopt开关并导致工具退出并显示错误消息。
        必须更改使用此开关的客户脚本。此传统开关强制增量模式(6.0之前的行为),这是次优的,并且不再维护。
一般缺陷在10.6c修复
        dvt99930 - 当子事务在父事务结束后结束时,事务记录可能会使模拟器崩溃。崩溃已得到解决。 (注意:$ transaction调用的这种顺序在技术上是不正确的;子事务应该在它们的父项之前结束。)
    用户界面缺陷在10.6c中修复
        dvt100029 - “when”命令有时会触发一些包含网络,矢量网和多维网络的表达式。此问题已得到解决。
        dvt100318 - 允许访问命令行参数的$ argv和$ argc变量在-batch模式下不可用。此问题已得到解决。
        dvt100811 - 偶尔在断言线程查看器中,分层表达式树中的文本以乱码的方式绘制。此问题已得到解决。
        dvt70665 - 如果安装在包含空格的路径中,Questa和ModelSim将无法运行。此问题现已解决。
        dvt101418 - 当没有给出参数时,vsim gui中的内部“ls”命令行为不正确。此命令仅在Windows上使用,此时没有找到外部“ls”命令。此问题已得到解决。
SystemVerilog缺陷在10.6c中修复
    dvt32206 - (结果)$ nochange计时检查在所有情况下都无法正常工作。
    dvt100404 - 在极少数情况下,涉及带有'with'子句的array.sum()约束的约束会在randomize()期间触发多维动态数组/队列的内部错误。这个问题已被解决。
    dvt100885 - 在某些罕见的情况下,随机()会产生虚假(VSIM-7020)错误“非法尝试调整随机动态数组”随机与-solvefaildebug动态数组时启用。这个问题已被解决。
    dvt100802 - 在SystemVerilog约束中使用DPI函数调用时,固定编译器(vopt / vlog)崩溃。
VHDL缺陷在10.6c修复
    dvt101286 - 修正了一个错误,即使用命令'assertion fail -print_msg'打印的默认消息始终打印错误消息,而不管VHDL断言的严重性如何。现在,默认消息的严重性与为断言指定的严重性相同。
SystemC缺陷在10.6c中修复
    dvt99466 - 而要打印的VSIM-6577错误,对于sc_module,sc_port或sc_prim_channel是在出口时被销毁全局或静态SystemC的对象,VSIM可能在一些奇怪的情况下崩溃。此问题现已修复。 vsim将报告vsim-6522警告并优雅退出。
SVA / PSL缺陷在10.6c修复
    dvt101285 - (source)修正了一个错误,其中断言控制任务(例如$ assertoff)没有检查级别参数的类型并接受任何内容并将其用作整数或默认为值0.这导致了错误的行为。现在我们添加了检查,这些任务的levels参数必须是整数表达式。任何使用不正确类型指定级别的测试用例都需要修复。
验证管理缺陷修复于10.6c
    dvt100091 - 如果使用“-hierarchical”命令行选项生成VRM HTML状态报告,则会发生SQL错误和格式错误。此外,非分层报告和分层报告都包含Runnable类型图标以保持一致性。
    dvt101420 - 修复了VRM状态报告算法的问题,其中花费了过多的时间来维护甚至没有使用的动态状态计数器,导致状态事件日志的加载速度很慢,非常大的回归运行。
10.6c中的SystemVerilog增强功能
    dvt100020 - 在'dist'运算符的RHS上添加了对'open_value_range'的支持 - 这允许指定'$'作为下限或上限的范围。
    dvt100925 - 添加了对允许端口变量在-suppress 13299下声明之前使用的支持。
SVA / PSL在10.6c中的增强功能
    dvt100099 - 在'assertion fail'命令中添加了一个新选项-print_msg,以便在断言失败时始终打印默认错误消息。无论操作块中指定了什么,都会打印此默认消息。如果没有操作块,则仅打印此默认消息。
10.6c中的验证管理增强功能
    dvt66359 - VRM现在支持并行自动合并。通过指定“-parallelmerge <n>”激活该功能,其中<n>是单个合并文件允许的最大并发合并操作数。此外,自动合并算法将推迟使用少于5个输入UCDB的合并启动,直到最少5个UCDB排队或直到30秒通过而没有其他UCDB排队。
    [nodvtid] - VRM现在支持通过修改RMDB中的某些预定义参数来修改从vrun内发送的电子邮件的mimetype和编码。
    dvt101404 - (结果)通常从测试关联的UCDB合并文件中删除测试是错误的。此更改允许抑制该错误。
    如何抑制:
    A)从合并UCDB中删除测试
        在这样的电话下:
            vsim -suppress 6892 -viewcov <accumulation_mergefile> .ucdb
        删除将被允许:
            coverage edit -delete -test <没有覆盖的测试名称>
    B)合并文件的未来累积将需要额外的抑制:
            vcover merge -suppress 6891 -testassociated -out
                <accumulation_mergefile> .ucdb <accumulation_mergefile> .ucdb x.ucdb
10.6c中的文档修订历史
    修订 - 更改 - 状态/日期
        2.3 - 改进内容的可读性和理解的修改。 Tim Peeke批准。本文档中列出了此版本中所有产品的所有技术增强,更改和修复。布莱恩拉米雷斯批准。 - 2017年7月发布
        2.2 - 改进内容的可读性和理解力的修改。 Tim Peeke批准。本文档中列出了此版本中所有产品的所有技术增强,更改和修复。布莱恩拉米雷斯批准。 - 2017年5月发布
        2.1 - 修改以提高内容的可读性和理解力。 Tim Peeke批准。本文档中列出了此版本中所有产品的所有技术增强,更改和修复。布莱恩拉米雷斯批准。 - 2017年4月发布
    作者:内部程序和工作实践需要多位作者提供文件。在文档源中跟踪本文档中每个主题的所有关联作者。
    修订历史记录:已发布的文档保留最多四次修订的修订历史记录。有关早期版本历史记录,请参阅支持中心(http://support.mentor.com)上提供的早期版本的文档。

QuestaSim10.rar

vip资源
下载地址
有任何意见或者建议请联系邮箱:858898909[at]qq.com 本站部分内容收集于互联网,如果有侵权内容、不妥之处,请联系我们删除。敬请谅解!
Copyright © 2012 SDBETA.com. All Rights Reserved 豫ICP备12021367号 豫公网安备 41019702002546号闪电下载吧