闪电下载吧 最新软件 免费软件 绿色软件

教程资讯 软件专题

您的位置:SD124 > 工具软件 > HDL语言仿真器 Mentor Graphics QuestaSim SE 10.4e 32/64位 安装激活教程

HDL语言仿真器 Mentor Graphics QuestaSim SE 10.4e 32/64位 安装激活教程

  • 软件大小:1.37 MB
  • 更新日期:2019-02-11
  • 官方网站:闪电下载吧
  • 软件等级:★★★☆☆
  • 运行环境:Winxp/Win7/Win8/Win10
HDL语言仿真器 Mentor Graphics QuestaSim SE 10.4e  32/64位 安装激活教程
  • 软件说明
  • 软件截图
  • 下载地址
  • 相关软件
  • 用户评论
  • 投诉建议: 858898909@qq.com
QuestaSim 10.4e破解版是一款功能强大的HDL语言仿真器,它提供最友好的调试环境,是唯一的单内核支持VHDL和Verilog混合仿真的仿真器。是作FPGA/ASIC设计的RTL级和门级电路仿真的首选,它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段。全面支持VHDL和Verilog语言的IEEE 标准,支持C/C++功能调用和调试。QuestaSim SE 10.4e,基于标准的单核验证引擎,集成了HDL模拟器、约束求解器和判断引擎,可以用于各类产品的仿真模和调试等操作,旨在将高性能和容量模拟与统一的高级调试功能相结合,为Verilog,SystemVerilog,VHDL,SystemC,PSL和UPF提供最完整的支持,可以大大地降低复杂FPGA和SOC设计的风险。Questa Advanced Simulator是Questa验证解决方案的核心模拟和调试引擎; 全面的高级验证平台,可降低验证复杂FPGA和SoC设计的风险。本次小编带来的是QuestaSim SE 10.4e破解版,含破解补丁,可完美破解软件!

安装破解教程

1、在本站下载并解压,得到questa_sim-win64-10.4e.exe安装 程序和patch破解文件夹

2、双击questa_sim-win64-10.4e.exe运行,如图所示,选择软件安装路径,点击下一步

3、如图所示,点击是

4、软件安装,耐心等待即可

5、安装完成,如图所示,需要重启,点击fou

6、不要运行软件,将patch破解文件夹中的文件复制到安装目录中,默认是C:\questasim64_10.4e\win64,根据实际情况复制即可

7、双击运行patch64_dll.bat文件,如图所示

8、完成后会出现一个LICENSE.TXT文件,如图所示,将它另存到安装目录中,默认C:\questasim64_10.4e\win64

9、最后我们需要创建一个系统环境变量,具体操作为电脑右键属性-高级系统设置-环境变量-新建
变量名:LM_LICENSE_FILE
变量值:LICENSE.TXT文件的具体路径
完成后软件即可破解成功

软件功能

1、适用于最复杂的回归套件的高性能多语言引擎
2、高效的高级验证解决方案,具有验证管理功能,可覆盖大型复杂电子系统的覆盖范围
3、通过本机断言和完整的多抽象和多语言调试环境(包括事务级调试),易于使用,快速调试
4、约束随机刺激生成以自动化测试开发
5、具有OVM和UVM的本机高级SystemVerilog测试平台功能与独特的调试功能相结合,可简化高级测试平台的开发和调试
6、高带宽事务级(TBX)与Veloce平台的集成,可实现显着的仿真加速
7、使用UPF对Power Aware Simulation进行原生支持
8、多核仿真,支持所有设计语言和构造,并自动或手动分区设计以并行运行,同时维护单个数据库以进行调试和覆盖。

软件特色

1、将高性能和容量仿真与高级调试和功能覆盖功能相结合,为Verilog,VHDL,SystemC和UPF等提供全面的本机支持。
2、通过非常积极的全球编译以及VHDL和SystemVerilog的仿真优化算法,实现了行业和容量的领先性能。
3、提供全面的,基于标准的ABV解决方案,从而提供SystemVerilog和属性规范语言的选择。
4、获得了Questa验证库(QVL),这是一个完整的SystemVerilog断言检查器和监视库,可以很容易地采用ABV。
5、配备高性能,多语言引擎,适用于大多数复杂的回归套件。
6、与Veloce平台进行高带宽事务级集成,以实现显着的模拟加速。
7、通过使用UPF获得Power Aware Simulation的原生支持。

使用说明

一、模拟的基本步骤  
您必须拥有正确的文件和库设置才能使用QuestaSIM模拟设计所需的命令。  
文件和地图库  
QuestaSIM必须能够访问多种特定文件类型才能模拟您的设计。  
设计文件(VHDL,Verilog和/或SystemC),包括设计的激励。  
图书馆,包括工作和资源。  
modelsim.ini文件(由库映射命令自动创建)。  
有关QuestaSIM在系统启动期间使用的文件(包括modelsim.ini文件)的详细信息,请参阅“系统初始化”附录。  
第1步-创建工作和资源库  
在编译源文件之前,必须创建一个用于存储编译结果的工作库。  
您可以使用vlib命令创建工作库。更新设计并重新编译时,库的内容会发生变化。  
vlib命令默认创建“平面”库类型。与传统库类型相比,平面库将库信息压缩为一小组文件。这可以解决非常大的库所遇到的性能和容量问题。  
由于缺少每个目标文件对象,对平面库的Makefile支持受到限制。但是,如果任何设计单元的任何源文件都比库更新,则生成的makefile将触发所有设计单元的构建。还支持平面库中的优化设计单元,具有更精确的依赖性跟踪。  
执行以下任何操作时,需要vmake命令的流可以恢复为旧库类型:  
在vlib命令中指定“-typedirectory”。  
将modelsim.ini文件中的DefaultLibType变量设置为值0。  
将shell环境变量MTI_DEFAULT_LIB_TYPE设置为值0。  
对于路径包含多个需要转义的项目(例如路径名包含空格或反斜杠字符)的情况,请使用大括号({})。例如:  
vmapcelllib{$LIB_INSTALL_PATH/DocumentsAndSettings/All/celllib}  
先决条件  
了解包含设计文件和资源库的目录的路径。  
启动QuestaSIM  
程序  
从主菜单中选择“文件”>“更改目录”以打开“浏览文件夹”对话框。  
导航到源文件所在的目录。  
使用vlib命令以下列方式之一创建逻辑工作库:  
在UNIXshell或Transcript窗口中输入vlib命令:  
vlib的工作  
从主菜单中选择“文件”>“新建”>“库”。  
使用vmap命令在逻辑库名称和目录之间映射一个或多个用户提供的库:  
vmap<logical_name><directory_pathname>  
创建一个名为work的库,将其放在当前目录中,并在Structure窗口中显示工作库(图1)。  
第2步-编译设计  
使用特定于语言的编译器命令将设计文件编译到工作目录中。  
Verilog和SystemVerilog-使用vlog命令编译。  
VHDL-使用vcom命令编译。  
SystemC-使用sccom命令编译。  
先决条件  
创建工作库并将所需的资源库映射到工作库。有关更多信息,请参阅步骤1-创建工作和资源库。  
SystemC设计需要安装gcc编译器。有关更多信息,请参阅编译SystemC文件。  
程序  
根据用于创建设计的语言,使用以下QuestaSIM命令之一编译设计:  
如果您的源文件是用...写的  
在Transcript窗口中输入以下内容...  
Verilog和/或SystemVerilog  
您可以按任何顺序编译Verilog文件。例如:  
vloggates.v和2.vcache.vmemory.v  
VHDL  
vcom命令按照它们在命令行中出现的顺序编译VHDL单元。对于VHDL,编译顺序很重要-您必须在引用它们的体系结构之前编译任何实体或配置。例如:  
vcomv_and2.vhdutil.vhdset.vhd  
SystemC的  
QuestaSIM使用外部C/C++编译器将SystemC源代码编译到工作库中,而sccom-link则使用编译后的源代码并链接设计。例如:  
sccom-gbasic.cpp  
sccom-link  
-g参数编译调试的设计。  
默认情况下,编译结果存储在工作库中。(图1)

第3步-优化设计  
优化是一个可选步骤,可以通过限制设计对象的可见性来提高性能。QuestaSIM使用vopt命令执行全局优化。  
先决条件  
创建工作库并将所需的资源库映射到工作库。有关更多信息,请参阅步骤1-创建工作和资源库。  
编译设计。请参阅步骤2-编译设计。  
程序  
在命令行中输入以下命令:  
vopttop-otopopt  
哪里:  
top是已编译的顶级模块的名称。  
-otopopt为设计的优化版本指定名称(topopt)。  
父主题:模拟的基本步骤  
相关话题  
使用vopt优化设计
步骤4-加载模拟设计  
使用vsim命令加载设计,通过指定任何顶级模块的名称来定义(许多设计只包含一个顶级模块)。  
先决条件  
创建工作库并将所需的资源库映射到工作库。有关更多信息,请参阅步骤1-创建工作和资源库。  
编译设计。请参阅步骤2-编译设计。  
程序  
在命令行中输入以下命令:  
vsimtestbenchglobals  
其中testbench和globals是两个顶级模块。  
模拟器加载顶层模块,然后迭代加载设计层次结构中的实例化模块和UDP。这通过连接端口和解析分层引用将设计链接在一起。  
注意:  
通过将标准延迟格式(SDF)反标注文件应用于设计,可以将实际延迟值合并到模拟中。
第5步-模拟设计  
成功加载设计后,模拟时间将设置为零,您必须输入运行命令才能开始模拟。  
先决条件  
对以下命令有基本的了解,通常用于运行模拟:  
    add wave
    bp
    force
    run
    step 
使用以下任何一种方法为设计添加刺激。  
基于语言的测试平台。  
基于Tcl的QuestaSIM交互式命令。例如,force和bp。  
VCD文件/命令。  
第6步 - 调试设计
您可以从Questa SIM GUI调试您的设计。
程序
使用以下任何或所有命令开始以交互方式调试模拟:

    describe
    drivers
    examine
    force
    log
    checkpoint
    restore
    show
 

有任何意见或者建议请联系邮箱:858898909[at]qq.com 本站部分内容收集于互联网,如果有侵权内容、不妥之处,请联系我们删除。敬请谅解!
Copyright © 2012 SDBETA.com. All Rights Reserved 豫ICP备12021367号 豫公网安备 41019702002546号闪电下载吧