闪电下载吧 最新软件 免费软件 绿色软件

教程资讯 软件专题

您的位置:SD124 > 工具软件 > Mentor Graphics QuestaSim 2024.1 许可证激活教程

Mentor Graphics QuestaSim 2024.1 许可证激活教程

  • 软件大小:未知
  • 更新日期:2024-03-15
  • 官方网站:http://www.mentor.com
  • 软件等级:★★★☆☆
  • 运行环境:Winxp/Win7/Win8/Win10
Mentor Graphics QuestaSim 2024.1 许可证激活教程
  • 软件说明
  • 软件截图
  • 下载地址
  • 相关软件
  • 用户评论
  • 投诉建议: 858898909@qq.com
QuestaSim 2024破解版是功能验证工具,提供最新标准的仿真支持。 该工具是对Modelsim的改进,它支持高级验证功能,例如覆盖率数据库,覆盖率驱动的验证,使用断言,SystemVerilog约束随机性功能。在Questa SIM中,所有设计都被编译到一个库中,轻松创建工作库并在Questa SIM中开始新的仿真,您还可以将设计单元编译到创建的库中。 Questa SIM库格式在所有受支持的平台上都兼容。您可以在任何平台上模拟设计,而无需重新编译设计。能够在设计中加载模拟器并运行模拟,编译完设计后,您可以通过在顶级模块(Verilog)或配置或实体/架构对(VHDL)上调用仿真器来将仿真器加载到设计中。假设设计成功加载,则将仿真时间设置为零,然后输入运行命令以开始仿真。如果未获得预期的结果,则可以使用Questa SIM调试环境来跟踪问题的原因。

安装激活教程

1、在本站下载并解压,如图所示

2、双击questasim-win64-2024.exe运行安装,选择软件安装路径,点击是

3、勾选同意,安装完成,退出向导,将文件夹“questasim64_2024.1”复制到原始文件夹的顶部(默认设置为C:\questasim6_4_2024.1)

4、将文件夹“ProgramData”复制到C:并覆盖

5、运行“Questa_Sim_Licensing.reg”并确认将信息添加到Windows注册表

6、运行“SolidSQUADLoaderEnabler.reg”并确认将信息添加到Windows注册表中

7、重新启动

软件功能

1、将高性能和容量仿真与高级调试和功能覆盖功能相结合,为Verilog,VHDL,SystemC和UPF等提供全面的本机支持。
2、通过非常积极的全球编译以及VHDL和SystemVerilog的仿真优化算法,实现了行业和容量的领先性能。
3、提供全面的,基于标准的ABV解决方案,从而提供SystemVerilog和属性规范语言的选择。
4、获得了Questa验证库(QVL),这是一个完整的SystemVerilog断言检查器和监视库,可以很容易地采用ABV。
5、配备高性能,多语言引擎,适用于大多数复杂的回归套件。
6、与Veloce平台进行高带宽事务级集成,以实现显着的模拟加速。
7、通过使用UPF获得Power Aware Simulation的原生支持。

使用说明

1、创建工作设计库
在模拟设计之前,必须首先创建一个库并将源代码编译到该库中。
程序
创建一个新目录,并将本课程的设计文件复制到其中。
首先,为此练习创建一个新目录(以防其他用户使用这些课程)。
Verilog:将counter.v和tcounter.v文件从/<install_dir>/examples/tutorials/verilog/basicSimulation复制到新目录。
VHDL:将counter.vhd和tcounter.vhd文件从/<install_dir>/examples/tutorials/vhdl/basicSimulation复制到新目录。
如有必要,启动Questa SIM。
在UNIX Shell提示符下键入“vsim”,或在Windows中使用Questa SIM图标。
首次打开Questa SIM时,您将看到“欢迎使用Questa SIM”对话框。单击关闭。
选择文件>更改目录,然后更改为您在步骤1中创建的目录。
创建工作库。
选择文件>新建>库。
这将打开一个对话框,您可以在其中指定库的物理名称和逻辑名称(图1)。您可以创建新库或映射到现有库。我们将做前者。
图1.创建一个新库对话框

在库名称字段中输入“work”(如果尚未自动输入)。
单击确定。
Questa SIM创建一个名为work的目录,并将一个名为_info的特殊格式的文件写入该目录。_info文件必须保留在目录中,以将其区分为Questa SIM库。不要从操作系统中编辑文件夹内容;所有更改都应在Questa SIM中进行。
Questa SIM还将库添加到“库”窗口(图2),并在Questa SIM初始化文件(modelsim.ini)中记录库映射以供将来参考。
图2.添加到“库”窗口的工作库

当您在上面的步骤3c中按OK时,以下内容被打印到“成绩单”窗口中:
vlib work
vmap work work
这两行是m的命令行等效项
2、编译设计单元
创建工作库后,就可以编译源文件了。
您可以使用图形界面的菜单和对话框(如下面的Verilog示例中所示)或在Questa SIM>提示符下输入命令来编译源文件。
编译counter.v和tcounter.v。
选择编译>编译。这将打开“编译源文件”对话框(图1)。
如果“编译”菜单选项不可用,则可能已打开一个项目。如果是这样,请通过激活“库”窗口并从菜单中选择“文件”>“关闭”来关闭项目。
从“编译源文件”对话框中选择counter.v和tcounter.v模块,然后单击“编译”。这些文件被编译到工作库中。
编译完成后,单击“完成”。
图1.编译源文件对话框

查看编译的设计单位。
在“库”窗口中,单击工作库旁边的“ +”图标,您将看到两个设计单元(图2)。 您还可以查看其类型(模块,实体等)以及基础源文件的路径。
图2.编译到工作库中的Verilog模块

  • 标签
下载地址
有任何意见或者建议请联系邮箱:858898909[at]qq.com 本站部分内容收集于互联网,如果有侵权内容、不妥之处,请联系我们删除。敬请谅解!
Copyright © 2012 SDBETA.com. All Rights Reserved 豫ICP备12021367号 豫公网安备 41019702002546号闪电下载吧